Hollosi Information eXchange /HIX/
HIX TIPP 2593
Copyright (C) HIX
1997-09-05
Új cikk beküldése (a cikk tartalma az író felelőssége)
Megrendelés Lemondás
1 ReRe: Visszhang (mind)  18 sor     (cikkei)
2 meg egy vakondtipp (mind)  23 sor     (cikkei)
3 Internet-tanfolyam (mind)  6 sor     (cikkei)
4 Tort marvany (mind)  10 sor     (cikkei)
5 nemet KRESZ, autogumik (mind)  22 sor     (cikkei)
6 nEUROTOURS viselt dolgai (mind)  10 sor     (cikkei)
7 taplo autosok (mind)  21 sor     (cikkei)
8 cd vetel-eladas (mind)  8 sor     (cikkei)
9 Re: Telefonvisszhang (mind)  13 sor     (cikkei)
10 Sziasztok! (mind)  8 sor     (cikkei)
11 Bankkartya-info: K&H, OTP hitelkartya + ujdonsagok (mind)  94 sor     (cikkei)
12 olaj+autogumi (mind)  20 sor     (cikkei)
13 Borsot a MATAV orra ala! ( 2. resz Internetto) (mind)  26 sor     (cikkei)
14 katalizator kerdes (mind)  17 sor     (cikkei)
15 juharszirup (mind)  12 sor     (cikkei)
16 Jo hirek! (mind)  13 sor     (cikkei)
17 bocsi... (mind)  8 sor     (cikkei)
18 Re: Robbanoanyag es tajekoztatas (mind)  7 sor     (cikkei)
19 re Motorolajok es autogumik (mind)  15 sor     (cikkei)
20 Nyari budapesti tuzgombmese (mind)  37 sor     (cikkei)
21 =?iso-8859-1?Q?Szusz=E9k?= =?iso-8859-1?Q?__?= (mind)  5 sor     (cikkei)
22 Mi az ami veszelyes ? Meg mindig a robbanoanyag. (mind)  41 sor     (cikkei)
23 Re: SAE jeloles (mind)  15 sor     (cikkei)
24 Viccek (mind)  17 sor     (cikkei)
25 BIKIN: XX. szazadi hirado (mind)  8 sor     (cikkei)
26 drotnelkuli vonalas telefon (mind)  32 sor     (cikkei)
27 Telekocsi kerestetik Nemeto.-->Mo.(szept 12-14 kozott)) (mind)  9 sor     (cikkei)
28 nomenklatura (mind)  3 sor     (cikkei)
29 Ekezet (mind)  21 sor     (cikkei)
30 CD-ket keresek, + eloadoi info kellene (mind)  17 sor     (cikkei)
31 Re: Vilagora? (mind)  19 sor     (cikkei)
32 Re: rendor es birsag (mind)  11 sor     (cikkei)
33 3 lyuk (mind)  6 sor     (cikkei)
34 Mi a kulonbseg a DEC es a Globusz kozott? (mind)  49 sor     (cikkei)
35 Filmrejtveny (mind)  9 sor     (cikkei)
36 Re: Motorolajok es autogumik (mind)  30 sor     (cikkei)
37 Info (mind)  15 sor     (cikkei)
38 VHS video kazettak masolasa (mind)  8 sor     (cikkei)
39 [HIRDETES] ingatlan (mind)  4 sor     (cikkei)
40 [HIRDETES] Telekocsi Zurich-Budapest-Zurich (mind)  6 sor     (cikkei)
41 [HIRDETES] LAKASRIASZTO ELADO-Kabelezes nelkul szerelhe (mind)  44 sor     (cikkei)
42 [HIRDETES] Mountain Bike Elado (mind)  12 sor     (cikkei)
43 [HIRDETES] komplett multimedias pentium monitorral a le (mind)  2 sor     (cikkei)
44 [HIRDETES] Elado 1302 VW-Bogar Cabrio (mind)  14 sor     (cikkei)
45 [HIRDETES] Alberlet kerestetik Pecsen (mind)  12 sor     (cikkei)
46 [HIRDETES] Elado Volkswagen Passat. (mind)  14 sor     (cikkei)
47 [HIRDETES] 33.6 modem elado (mind)  3 sor     (cikkei)
48 [HIRDETES] elado HiFik es CD-k (mind)  46 sor     (cikkei)
49 [HIRDETES] Elado 486 konfig (mind)  19 sor     (cikkei)

+ - ReRe: Visszhang (mind) VÁLASZ  Feladó: (cikkei)

T. ) irta mobil-visszhangrol (#2592):
>Csak egy megjegyzes: en altalaban akkor hallottam visszhangot, ha egy
>cellatol eppen messzebb jartam, vagyis a tererok (oda-vissza) nem voltak
>tul nagyok. En kulonosebb aggodas nelkul ennek a szamlajara irtam.

Ha GSM-rol van szo, alapertelmezesben kb. max. 35 km messzirol tud a
telefon kommunikalni az allomassal, es az idoziteseket egyezteti az
allomas a telefonnal. Ebbol nem lehet visszhang. Ha nem egyeztetnek
az un. timing advance-ot, akkor nagy kavarodas lenne a kulonbozo
beszelgetesek kozott.

Nem vagyok 450-es analogban jaratos, de ha egy kb. 30 km-re levo
allomas fele megy a radiohullam kb. 3e5 km/s-mal, akkor kb. 0.1 ms
alatt er oda, ez nagysagrendekkel rovidebb ido, mint ami a visszhang
meghallasahoz szukseges.

Udv
Attila
+ - meg egy vakondtipp (mind) VÁLASZ  Feladó: (cikkei)

Kedves vakondtulajdonosok!
   
   Kotott talaju zoldsegeskertre van egy modszer, amit magam 
probaltam ki es eveken keresztul mukodott.
   A novenysorok kozet takarjuk vastag mulcsreteggel (lekaszalt fu, 
avar, nyesedek, szerves haztartasi hulladek), ami kulonben is jo a 
talajnak, mert taplalja es lazan tartja. Epp a lazasag miatt a vakond 
sokkal jobban szeret benne turni, mint a novenysorok kemenyebben 
maradt foldjeben. Nekem azt csinalta, hogy szepen haladt parhuzamosan 
a sorokkal, az agyas vegen atment a kovetkezo sorkozbe es ott 
folytatta, a tulso vegen a kovetkezoben, stb. Ez szinte viccnek 
hangzik, de eveken keresztul rendszeresen igy volt. Novenyt alig turt 
ki, viszont - gondolom - a sorkozokben szepen szedegette ossze a 
pajorokat, es mindenki meg volt elegedve (talan a pajorokon kivul).
   Vigyazat, a modszer csak ontozes nelkul mukodik! Ontozve egyreszt a 
talaj mindenutt laza lesz, masreszt felo, hogy a kis ficko epp ott 
van, mikor a viz elarasztja a talajt, es megfullad. Kevesbe kotott 
talajon pedig (amilyen pl. a mostani kertem) a novenysorok is elegge 
lazak neki, es ott is tur. En ugyan azt se nagyon banom, mert azert 
rendszerint jut is, marad is.

   Jo vakondterelest,
   Vazul
+ - Internet-tanfolyam (mind) VÁLASZ  Feladó: (cikkei)

Udv Tippelok!

Valaki erdeklodott Internet-tanfolyamrol. Nos olvastam egyrol:
     Mikrobit Studio, Tel.: 201-0855

Adam
+ - Tort marvany (mind) VÁLASZ  Feladó: (cikkei)

Tippelok!

Teraszt bontok. Kb 25 m^2 ket centi vastag, voros tortmarvany (kb 15 m^2 bontot
t
kb. 10 m^2 le sem volt rakva) gazdara var, ha valakit erdekel azonnal irjon a
sajat e-mail cimemre.
Forras: Magyarorszag, Balatonszemes.
Nekem a legjobb lenne, ha a bontasert cserebe adhatnam a bontott anyagot.

Gabor
+ - nemet KRESZ, autogumik (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

A nemet autopalyakon elvileg nincs sebessegkorlatozas. Gyakorlatilag
viszont a rossz utszakaszokon ugye azert kiteszik a 100-as tablat, stb.
Ami ennel fontosabb, letezik egy *ajanlott sebesseg*, ami 130 km/h.
Amely nemet ennel lenyegesen gyorsabban szaguldozik, es balesetet
szenved, a biztosito nem fogja neki megteriteni a kar 100%-at, meg 
akkor sem, ha az illeto vetlen. Kulfoldiekkel nem tudom, mi az abra. 

--------

Autogumik: 165/70 R13 a 165 mm-ben ertendo, a 13 pedig inch-ben. Ha
biztosat akarsz tudni, nezz meg egy konyvet, amit autosiskolakban
hasznalnak, abban benne van a muszaki vizsgas resznel. (Szerintem a 165 a
futofelulet szelessege; a 70 pedig azt jelenti, hogy a gumi "magassaga"
/R-r/ az 165mm * 70%; a 13" pedig a gumi belso atmeroje /2*r/.)
Ezen kivul letezik meg a DOT-szam, ennek legutolso jegye megadja, hogy
melyik evben gyartottak a gumit. xxxxx6 tehat jelenthet 1996-ot, de 
ugyanugy '86-ot, stb. is.

Udv,
marky a germanhonba szakadt neme[s|csek] - 
+ - nEUROTOURS viselt dolgai (mind) VÁLASZ  Feladó: (cikkei)

Kedves Olvasoim!
Hamarosan igen erdekes es nektek is hasznos ill. tanulsagos dolgokat 
fogok publikalni a nEUROTOURS utazasi irodarol.Kb 10 nap mulva,ha nem 
teszik feledhetove a nagy atverest.
Egyebkent nem is lenne butasag letrehozni egy sajat "fogyasztovedelmi 
NETfelugyeloseget" ,hogy ne minden utayasi iroda engedhesse meg maganak 
az utas bepalizasat.

______________________________________________________
Get Your Private, Free Email at http://www.hotmail.com
+ - taplo autosok (mind) VÁLASZ  Feladó: (cikkei)

Hi,
     
     Valaki irta, hogy az az autos is ugyanolyan taplo, aki odaadja a 
     baksist rendornek, mint maga a rendor. 
     Pelda: 1400 km egyhuzamos motorozas utan (Parizs-Szeged) a 
     vegallomastol 10 km-re es termeszetesen az egesz uton eloszor, 
     megallit a rendor, rutinellenorzes. Ott derult ki, hogy a jogsim 
     Parizsban maradt es mivel a motorra jogositvanyt angliaban szereztem 
     es miota megkaptam, nem voltam otthon, nem tudtam meg honosittatni, 
     ezert a magyar jogositvanyomban nem volt az A kategoriara pecset. Az 
     urak, rogton kozoltek a lehetosegeimet: vagy ott azonnal bevonjak a 
     magyar jogositvanyomat es ott kell hagynom a motort is (a pusztaban az 
     M5-n), masnap befaradni a kapitanysagra, kifizetnem korulbelul 30 ezer 
     forintot minenfajta buntetes gyanant, vagy: "Uram, talaljon ki valami 
     alternativ megoldast..." Egy otezer forintos alternativ megoldast 
     valasztottam es bevallom, egyaltalan nem ereztem magam taplonak, sot a 
     tisztelt TIPPelo levelet olvasva sem.
     
     Udv,
     
     A
+ - cd vetel-eladas (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok!

Ismertek olyan helye(ke)t Budapesten, ahol nemcsak eladnak, hanem vesznek
is audio cd-ket?

Koszonettel:
Szilagyi Zsolt
mailto:
+ - Re: Telefonvisszhang (mind) VÁLASZ  Feladó: (cikkei)

On Thu, 28 Aug 1997 08:52:20 EDT, 
wrote:

>Sziasztok!
>
>Bizonyara Nektek is feltunt, hogy ha kulfoldrol magyarorszagi
>telefonszamot hivtok, visszhangzik-zik a hangotok-tok a kagyloban-ban.
>Gondolom, ez valamilyen formaban az eltero szabvanyokkal van
>osszefuggesben. Esetleg aki szakert a dologhoz, elmeselhetne, mitol van
>ez.
A visszhang egyszeruen gerjedes, az atvitel soran valamelyik atviteli
csatorna rosszul van beallitva.
--- Friczy ---
+ - Sziasztok! (mind) VÁLASZ  Feladó: (cikkei)

Ujbol egy zenei jellegu kerdest teszek fel nektek.
   Nem tudja valaki hogy hol lehet megvenni az Iron Maiden-tol a Powerslave 
cimu
   albumot CD-n?
   En sokat kerestem, de nem sikerult megvenni.
   Ha valaki tud Segitsen.
   Koszi.
                         Jeami B. A Hos
+ - Bankkartya-info: K&H, OTP hitelkartya + ujdonsagok (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Eleg sok erdeklodest kaptam K&H hitelkartya ugyben. Mivel megindult 
a hitelkartya reklamkampanya, gondolom tobben erdeklodnek majd iranta.
Az alabbiakban szeretnem osszefoglalni mindazt, amit a legtobben nem 
ertettek a korabbi leirasaimbol a visszajelzesek alapjan.

A K&H hitelkartya nem a Magyarorszagon eddig megszokottak szerint 
mukodik, hanem a kulfoldon eredetileg bevezetett hagyomanyos 
hitelkartya mintajara. A kartya mukodeset legegyszerubben egy 
telefonszamlaval lehet modellezni. A ho elejen 0-rol indulo szamladat 
a hasznalat soran egyre inkabb minuszba viszed, igy tartozas 
keletkezik a szamlan. A honap vegen a bank osszegzi a koltekezesedet, 
majd felszolit annak befizetesere. Amennyiben befizeted, a szamlad 
ismet 0-ra kerul, majd kezdodhet minden elolrol. Azaz itt csak 
hitelbol koltekezhetsz. Ezen kartya moge nem kapcsolodik hagyomanyos 
bankszamla szolgaltatas, igy nem lehet rola atutalni, vagy nem lehet 
betetet lekotni rajta. Semmi koze a lakossagi bankszamlahoz es az 
ahhoz kapcsolt kartya(k)hoz. Ezt a kartyat ezert CSAK vasarlasra es 
keszpenzfelvetelre hasznalhatod. Inkabb ugy fogalmaznek, hogy ez egy 
olyan hitel, amelyhez bankkartyaval fersz hozza. A hitelkartya ugy 
mukodik, hogy van egy hitelkereted. Ez -tovabbi felajanlott 
biztositek nelkul- min. az igazolt netto havi fizetesed. A hitelkeret 
minimum 50000 Ft lehet, igy nyilvanvaloan a fizetesednek kb. ebbe a 
nagysagrendbe kell esnie, ill. ha kevesebb, megfelelo egyeb 
fedezettel kell rendelkezned. A fedezet lehet ingatlan, ertekpapir, 
gepjarmu. A kartyahoz tartozo szamlan a hitelkereten tul is 
koltekezhetsz egy bizonyos hatarig, de a hitelkereten feluli reszt 
mindig maradektalanul vissza kell fizetned a kovetkezo havi 
ciklusban. A hitelkeret visszafizetesere a kovetkezo szabaly ervenyes. 
Minden honapban van egy kotelezo befizetes, ami a teljes fennallo 
tartozasod 10%-a. Ennek elmulasztasa rontja az adosi minositesed, ami 
problemat jelenthet a kartyahosszabbitaskor. A hitelkeret hasznalata 
viszont teljesen legalis, a kartya errol szol. A 10%-on felul annyit 
fizetsz be, amennyit tudsz (birsz), de ertelemszeruen minel tobbet 
fizetsz be, annal tobbet hasznalhatsz el a kovetkezo honapban ujra a 
hitelkeretedbol. A kartya egy nemzetkozi, forint alapu, 
maganszemelyeknek kiadott VISA CLASSIC vagy EUROCARD-MASTERCARD 
STANDARD bankkartya lehet (Te dontod el.) A hitelkartya beteti 
osszeg nelkul nyithato, a bank altal adott kerdoiven kitoltott 
jovedelemigazolas (es rengeteg egyeb intim kerdesre adott valasz), az 
elozo havi befizetett telefonszamla es az elozo evi adobevallas 
bemutatasa (lefenymasoljak!), valamint egy igazolvanykep szukseges. A 
kartyahasznalat koltsegei magasabbak, mint a tobbi (K&H) kartyae, de 
nem tulzottan magasak. Igazabol nem napi hasznalatra keszult. A 
vasarlas viszont ingyenes. Eves dij 4000 Ft. A legkisebb tartozas 
utan is vonjak a hitelkamatot (napi kamatszamitassal). A hitelkamat 
merteke 30%. Felhivom a figyelmet a rendelkezesre tartasi jutalekra! 
Ennek merteke 0.5% (szinten eves szinten). Ez a hitelkeret fel nem 
hasznalt resze utan fizetendo. Tehat pl. ha nem hasznalod a 70000 Ft-
os hitelkereted, az egy honapban durvan 300 Ft-odba kerul. Amennyiben 
viszont teljesen kimerited, akkor meg a hitelkamatot fizeted, ez 
durvan 1750 Ft. Az aranyok valtozasaval a ketto kozott fizetsz 
havonta. A hiteltartozas megfizetese befizetessel vagy erkezo 
atutalassal lehetseges. Mivel ez nem folyoszamla, munkabert 
atutaltatni ra nem lehet. Ugyanakkor pl. befizeteskor lehetseges a 
tulfizetes. A tulfizetett osszegre a bank "kenyszerbetetkamatkent" 
evi 9%-ot ad.

A K&H hitelkartya foleg azoknak lehet hasznos, akiknek gyakran vannak 
atmeneti penzzavaraik, de azokat fegyelmezetten egyenesbe hozzak, 
illetoleg akiknek likviditasi gondjaik vannak, avagy akiknek hataridos 
penzproblemaik nem teszik lehetove a havi jovedelem kivarasat.

Veglegesen helyesbitenem a K&H nemzetkozi, forint alapu es 
maganszemelyeknek szolo dombornyomasu kartyainak keszpenzfelveteli 
dijait. Sajat bankfiokban es ATM-ben 10 Ft+0.1%, idegen ATM-ben 10 
Ft+0.2%. Egyben kiegeszitenem a dijmentes keszpenzfelvetelt es havi 
zarast egyarant nyujto bankok listajat a CIB Bankkal. (Kartyaigenyles 
Visa Electron eseten 100 000 Ft, VISA Classic eseten 350 000 Ft.)

Az OTP is kibocsajtotta a hitelkartyajat, a BB pedig tervezi (ha jol 
tudom). Mindketto EC/MC. Az OTP exkluziv, EC/MC GOLD hitelkartyat 
bocsajt ki, mely ugynugy mukodik, mint a K&H-e. A fontos kulonbseg, 
hogy ezt kizarolag a legmagasabb ugyfelkornek szanjak az ezzel 
aranyos szinvonalu szolgaltatassal (Hogy mast ne mondjak, szemelyes 
bankar...) A megmerettetesen az felel meg, aki magas jovedelmu es 
gyakran utazik kulfoldre. A kartya ertelemszeruen az OTP-nel is 
elkulonul a lakossagi folyoszamlatol. A BB-rol meg nincs hirem.

A Daewoo Bank kiserleti ATM kartyaja utan 4 napja megkezdte a Visa 
Electron kartyak kibocsajtasat. 50000 Ft-tal igenyelheto, de az elso 
6 keszpenzfelvetel es a havi zaras ingyenes. Visa Electronra keszul 
az ING Bank (a volt Dunabank) is.

Remelhetoleg uj szakasz kezdodik a lakossagi bankszolgaltatasok 
teren, ha lehet hinni a lassan 2 eve jovendolt uj Magyar Hitelbankra. 
Neve mostantol ABN-AMRO Bank. Szeleskoru elektronikus 
bankszolgaltatast szeretnenek. Ugyfelszolgalatuk mar most uzemel a 
mindenhonnan helyi dijert hivhato (40) 444-444 kek szamon (7-22h).

Most eltunok egy honapra. /:-)))/ Nyugodtan irhattok a Tippbe vagy 
magancimemre, de csak oktobertol tudok majd valaszt adni. (Szombatig 
magan emilben meg elertek.) Mindenkinek jo TIPPelest, sziasztok!
+ - olaj+autogumi (mind) VÁLASZ  Feladó: (cikkei)

Kedves Laszlo!

Ugye, nincs jogositvanyod? Mert ha van, akkor ezeket a dolgokat illene 
ismerned. Az SAE olajjeloles szamai a viszkozitaserteket jelolik, a teli 
(hideginditas!) ertek jelzese a W (winter). Egyebkent a szintetikus olaj 
tenyleg szintetikus, vagyis mestersegesen allitjak elo. Az arabol is konnyen

eszre lehet venni, olyan sokba kerul. A szintetikus olajak jobban kennek, es

tartosabbak is, de az asvanyi olajokkal nem keverhetok. Sot, ha egyikrol a 
masikra attersz, ki kell tisztitani a motort, mert oldhatatlan uledekek 
csapodhatnak ki. 
Az autogumik jelolese: pl. 175/70 R 13. Az elso szam (175) a gumi 
szelessege cm-ben, a masodik (/70) a szelesseghez viszonyitott magassag 
szazalekban. Ezt csak akkor irjak oda, ha 100%-tol eltero (alt. kisebb).
Az R a radial, az utolso szam (13) a gumi belso atmeroje (vagyis a felni 
merete) collban megadva.
Remelem, eleg kimerito valaszt kaptal a kerdeseidre!
				Udvozlettel:
						Daro
+ - Borsot a MATAV orra ala! ( 2. resz Internetto) (mind) VÁLASZ  Feladó: (cikkei)

- A MatávNet nagyon érzékenyen reagált arra, hogy körlevélben kezdték el
reklámozni a szolgáltatást. A szolgáltatási feltételeikben nem gérnek
védelmet az előfizető személyes adataira. Tervezik eladni az ügyfelek
adatait? - Nem, semmiképpen sem adjuk ki az ügyfelek adatait. Ezt a
direkt-marketing lépést, vagyis a MatávNet előfizetőknek küldött
körlevelet, utólag elhibázottnak kell minősítenünk, és ezúton is elnézést
kérünk azoktól, akik ezt zaklatásnak érezték. Úgy látszik, hogy
Magyarországon a netiquette sokkal szigorúbban fogja fel ezeket a dolgokat,
mint például Izraelben.

- Remélnek bármilyen reklámbevételt, vagyis nem a felhasználótól kapott,
hanem hirdetési felület értékesítéséből származó hasznot? - Közvetlenül az
InternetFree szolgáltatásból nem, nem erre alapoztuk. Ez non-profit
szolgáltatás, sőt befektetés. De természetesen ha az ETT-NET neve ismertté
válik, akkor jó árakat kérhetünk a reklámjainkért és egyéb
szolgáltatásainkért, amelyek nem non-profit jellegűek.



Turi László
******************************************************
Az Internetto cikket a kozerdeklodes miatt raktam ide fel, a srolimit miatt
ket reszletben. Az mar jo, hogy valaki ujjat kivan huzni a MATAV-val, es az
is jo, hogy a horribilis telefonszamla kopasztas ellen valami kezd
alakulni. Egyelore meg kiszolgaltataottak vagyunk, de remelhetoen nem
sokaig. Majd meglatjuk!
+ - katalizator kerdes (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

Allitolag 09.06.-tol ujra lehet 1000 Ft.-ert katalizatort
beepittetni az autokba. (A tobbi, vagy 25 ezret az allam bacsi
fizeti egyelore)
Az elonyeit nagyjabol tudom: par fillerrel olcsobb benzin,
legszennyezes csokkenese, kevesebb sulyado.
A dolog hatulutojeire lennek kivancsi. Pl.: Igaz az, hogy csak
korlatozott ideig/km-ig hasznalhato, es utana ki kell cserelni ?
Aztan mi a helyzet az auto teljesitmenyevel (ami amugy is kicsi).
Csak nem csokkenti ?
Minden letezo (ismert) hatranyat irjatok meg.

Elore is kosz a szakertok valaszait.

Pozsgai Csaba

+ - juharszirup (mind) VÁLASZ  Feladó: (cikkei)

Sz'asztok!
Ami filmeben (a Rainman-ben pl) lattam hogy az ujvilagiak
juharsziruppal eszik a palacsintajukat. Eddig itthon(Mo.) nem nagyon
lattam jsz-t, de tegnap talaltam ilyet egy uzletben. Nosza megvettem!
Kerdesem: Az a palacsinta amire az Allamokban raontik ugyanolyan
palacsinta-e mint amit nalunk keszitenek, es hogy csak ugy rakenik-e
ezt a sumetrolim izu bigyot, vagy higitjak talan?

   |\_________________,_ )
   |     ==== _______)__)www.kiskapu.hu/users/ptibor/magics.htm
 __/___  ====_/
(O____)\\_(_/
+ - Jo hirek! (mind) VÁLASZ  Feladó: (cikkei)

Jo hirek!

Kedves TIPPelok!

Anno ezt irtam:
> 1. Ovatosan jarjatok el, ha szamitastechnikai alkatreszeket
>  a SPECI COMPUTER ill. a COMPUGRUP /a speci megvasarolta/...

Most, jo hirekrol is beszamolhatok. 
Gondjaim - ha nem is a leggyorsabban - de megoldodtak. 
Ugyhogy reszemrol a szetfoszladozott bizalom helyre allt.

Udv.: S. Istvan /Vadasz/
+ - bocsi... (mind) VÁLASZ  Feladó: (cikkei)

Tegnap irta Trifo:

> szarokhaz aljaban meg fogsz latni egy szep...
  ^^^^^^^^^

Ez a nyilvanos WC egy uj becezett formaja akar lenni? :-))))))

Gabor(gizi)
+ - Re: Robbanoanyag es tajekoztatas (mind) VÁLASZ  Feladó: (cikkei)

Miert ne jelenhetne meg ? Akit erdekel mar ugy is reg hozza jutott
mindenfele recepthez akit meg nem hat majd atlepi. Szerintem
ismeretterjesztesnek nagyon is hasznos lenne. Legalabb ,mindenki meglathatja
nem olyan szornyu nagy boszorkanysag a kemia. Eloallitani meg ugy sem tud
otthon nagyobb mennyiseget , mert nem azert draga a robbanoszer mert tilos (
vay nem csak azert ) ha nem mert nagyon preciz technologiat es nagy
fegyelmet igenyel az eloallitasa.
+ - re Motorolajok es autogumik (mind) VÁLASZ  Feladó: (cikkei)

Udvozletem !
Meszaros Laszlo kerdezte... 
>	Masik kerdes, ami regota furja az oldalam: az autogumikon levo
>	ilyesmi rovidites: 165/70 R 13... 

165mm a az gumi szelessege
70 az a magassag (a szelesseg 70 szazaleka 115.5mm)
R radial
13 coll a felni atmeroje. (13x2.54 cm)

Lehetne nyakatekertebb is. 
Az olajat nem irom, mert tobb definicio forog kozkezen es ezek szerintem
nem teljesen igazak.
--
Meszaros Geza
+ - Nyari budapesti tuzgombmese (mind) VÁLASZ  Feladó: (cikkei)

Jaj, el ne felejtsem mar megosztani Veletek egy nagyszeru es tobb szempontbol
igen exkluziv tuzgombelmenyemet - mintegy az aug. 20-ai tuzijatekok
kiegeszitesekent. A nagy nyari taborozasok es - a sajnos rovidke - ejtozes
utan visszatertem Bp.-re, es belevetettem magam munkahelyem rejtelmeibe. :-)
pontosabban :-( Ez nalunk (is) ugy nez ki, hogy az emberfiat a munka megvarja
a szabadsag alatt, de hat sebaj, jo hosszuak az ejszakak!... :-(((

No, hat aug. 21-en csutortokon kesoeste bent csucsulok kedvenc geptermemben,
immaron 12 oraja a monitor elott, a sokadik installalas utan.
A gepteremnek hatalmas ablakai vannak, mogotte a szekhaz szepen kivilagitott
parkoloja. Folotte (a 10-dik emelet folott) valahol pedig a csillagos eg...

Nos, szinte pontban 22 orakor, nem birvan mar az ulest, kirugtam magam alol
a szeket, es leguggoltam a monitor elott, es igy mintegy ahitattal terdre
hullva a technikai csodaja elott. Ket "Installation Error" kozott egyszercsak,
tul a nagy uvegfalon, a kivilagitott epuletek kozott, cegunk egen egy
gyonyoru, fenyes, lomha tuzgomb maszott ki a teto mogul!!! Meglepetesemben
a kezembol kiesett az eger es a regisztracios kulcs... A jelenseg kifejezetten
elenkzold szinu, lassu, lathatoan nyomot hagyo, nagyjabol a zenit felol hullo
- becslesem szerint cygnida-tuzgomb! Palyajabol nagyjabol 15 foknyit lattam -
de ez maga a mennyorszag volt!!! Hangsulyozando megegyszer, hogy mindezt
iszonyu geptermi, parkoloi, budapesti fenybivalyozas, az ablakuveg, no es
persze a monitor es a nagyszeru ablakos szoftverek agyelbodito befolyasolasa
kozepette!...

Miutan sikerult levegot kapnom, gyorsan felkaptam a telefont, es felhivtam
- irigykedesemre - Tatan hevero felesegemet, szaladjon mar ki, lenne mit
neznie. Eltelhetett idaig 1-2 perc, ugyhogy nem igazan volt mar nyoma a
jelensegnek - pedig micsoda buli lett volna!!!... A lelkem melyen az
vigasztalt: ez a musor nem johetett volna igy letre munkahelyem es a
mikorszopt tamogatasa nelkul. Akkor sem lett volna katartikusabb az elmeny,
ha Tatan heveregtem volna en is, mert bar bizonyara ott is ugyanazzal
foglalatoskodtam volna, mint itt Bp-n - nagyon hianyzott volna ez a jo kis
gepterem, a nagy ablak, mogotte pedig a csodas kis fenyar!...

Emberek! Eszleljetek tuzgomboket Bpesteeeeeeeeen!
                                                                Tepi
+ - =?iso-8859-1?Q?Szusz=E9k?= =?iso-8859-1?Q?__?= (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelők !

Helyhiány miatt szeretnék megválni egy féltett butordarabomtól, egy
szuszéktól. Jó állapotban van, még használható , szép darab.
Ha valakit érdekel - jelentkezzen. Budaiak előnyben...
+ - Mi az ami veszelyes ? Meg mindig a robbanoanyag. (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

> Felado :  [Hungary]
> Miert, szerinted barki garantalni tudja a fentieket, pl. egy szimpla
> aruhazban kaphato konyhakes, balta, elektromos vezetek,
> ruhaszaritokotel, zongorahur, permetezoszer, lancfuresz, aso,
> csofogo, villaskulcs, ceruza, furdokad, vasalo, csonakmotor,
> nejlonzacsko eseten?????? Bar a fenti eszkozok nem alkalmasak
> faldontogetesre, de kivaloan alkalmasak emberolesre, akar annak
> minositett, kinhalalt okozo modjan is. Szoval matol kezdve mindenki
> csak neman, meztelenul. es feltartott kezzel kozlekedhet, nehogy baj
> legyen????

1. A fentiek egyike sem robban fel erintesre.
2. Kifelejtetted a kaveskanalat vagy pl. 2 dl. vizet. 
Azokkal is lehet embert olni.
3. A csonakmotor es a permetezoszer kivetelevel a 7 eves fiam 
mar 4 eve ismeri mindegyiket ! Azota e ket utobbit is. A permetszert 
elzarva tartom ! Csonakmotor, zongorahur, lancfuresz nincs otthon. :-)
4. Baltaval, csofogoval, furdokaddal lehet falat donteni. :-)

Szoval ne keverd ossze a szezont a fazonnal !


Udvozlom Meszaros Lacit, mert O megertette amit irtam !

> Felado : Meszaros Laszlo (Budapestrol)
> E-mail :  [United States]
> Sokan elmondtak, hogy az NI3-at hogyan kell csinalni, de kevesen tettek
> hozza, hogy veszelyes, es miert.
 
Azt, hogy MENNYIRE VESZELYES, azt egyedul csak en emlitettem ! 
Es EZ A LENYEG ! Az, hogy mennyire veszelyes !

A furdokadrol is csak az tudja mennyire veszelyes akinek mar raesett a labara !
 :-)

Udv. Tibi

A nok rengeteg idot es penzt forditanak arra, hogy szebbek legyenek.
Pedig csak azt erik el, hogy eltakarjak a termeszetes szepseguket.
+ - Re: SAE jeloles (mind) VÁLASZ  Feladó: (cikkei)

Erich Janos wrote:
<
Sajnos nem jol tudod. A W _utani_ szam jelzi a teli viszkozitast.
Az elso szam a meleg viszkozitas erteke.
<

Szia, sziasztok

Bocsika, de ez tevedes volt.
A  SAE viszkozitasosztalyozasban a "W" elotti szam a motorolaj hidegfolyasi
tulajdonsagaira/kovetelmenyeire utal, mig a "W" utani szam a 100 C-on
merheto viszkozitasra ad ertekhatarokat (ami az olaj uzemi homersekleten
tapasztalhato folyasi tulajdonsagokkal korrelal.

Udv,  Jozsi
+ - Viccek (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Legyetek szivesek megirni, ha tudtok olyan konyvet, helyet a 
halon, ahol viccgyujtemeny talalhato a kovetkezo temakban, 
szereplokkel:

   - hentes
   - marha
   - tehen
   - malacka
   - sertes

Koszi:

Agoston Laszlo

http://www.zalahus.hu/
+ - BIKIN: XX. szazadi hirado (mind) VÁLASZ  Feladó: (cikkei)

Kedves Lista!

Nincs veletlen a fent nevezett bakelitbol egy feleslegben valakinek???

Udv From:, a kereso

Ui: Ha akad adakozo kedvu egyen, akkor magany emilben zargasson, mert nem 
vagyok listag!
+ - drotnelkuli vonalas telefon (mind) VÁLASZ  Feladó: (cikkei)

Hail!

Elsosorban a nagy tocsa masik oldalan eloktol szeretnem megkerdezni
(mert Mo.-n nem tudnak ilyenrol), hogy mennyire megbizhatoak azok a
telefonok, amik vonalas keszulekek, de a kagylo az alapkeszulektol
elviheto 10-15 Km-re. Mennyiben kerulnek (ott ahol lehet kapni), es
mekkora adatatviteli sebessegre kepesek?

Egyszerubben fogalmazok: Arra gondoltam, hogy kihagyom a matavot a sajat
internet koltsegeim kozul, es vennek egy ilyen telefont. Az
alapkeszuleket magamnal hagyom, a kagylo reszet pedig beteszem a szerver
melle (ahova ugyis csatlakozom).
Az alapkeszulek, es a kagylo (tudtommal) tud egymassal -oda vissza-
beszelni (matav nelkul)..... Igy sok gondom megoldodhatna :)))

Azt tudom, hogy letezik ilyenre kepes keszulek (ha minden igaz a
Panasonic is gyart ilyet) de Mo.-n senki sem forgalmazza... :(

Van valakinek hasznalhato infoja, vagy tapasztalata egy ilyennel
kapcsolatban? (ar, minoseg, tavolsag...)

-Azt hiszem, ha mukodik sokunkat erdekelhet...

Koszi,
Szabolcs
-- 
 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
{ Ba'nffy Szabolcs	                      --==WEBUJSAG ==--       }
{ mailto:      H-1615 Bp. pf. 247      }
{ ICQ: 2221980	                             tel/voice/fax: 308-9539  }
{ http://www.webujsag.kibernet.hu             }
 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+ - Telekocsi kerestetik Nemeto.-->Mo.(szept 12-14 kozott)) (mind) VÁLASZ  Feladó: (cikkei)

Szervusztok Tippelok!

Ha valaki szeptember 12-14 kozott (pentek-vasarnap) 
 Gottingenbol (vagy Kassel, Wurzburg es esetleg Frankfurt is jo lenne)
 Magyarorszagra INDUL kerem irjon egy sort a fenti cimre vagy 
telefonon keressen meg.
 (benzinhozzajarulas, es ha szukseges, vezetes fejeben)
 Misi (Kecskes Mihaly)
este: 0049 551 36050, nappal: 0049 551 399726 sok csongetessel.
+ - nomenklatura (mind) VÁLASZ  Feladó: (cikkei)

Szazhalombattai MOL kut rulla: 89 Ft !!

andor
+ - Ekezet (mind) VÁLASZ  Feladó: (cikkei)

>- Mikor is milyen cillal alakult a vallalkozas? - Az ETT-NET vt-hat ive >van
>jelen Magyarorszagon, eredetileg biztonsagtechnikai szolgaltatasokra
>alakult, az Internettel foglalkozs risze kit ive m{kvdik. A cig 50-50
>szazalikban magyar-izraeli tulajdonz.
(stb.)

Ez mar hihetetlen... KOMOLYAN NEM LEHET EKEZETEK NELKUL IRNI? Mar
 unom. Volt mar olyan, hogy nem volt olyan cikk, amit ne
ekezetekkel irtak volna?! Meg jo, hogy valaki igy akar reklamot csinalni
egy Internet-szolgaltatonak. Nagyon jol sikerult... A ceget innentol
kezdve egy eleten at kerulni fogom! Gratulalok!

L.
-- 
Tel.: otthon:    36/96/312081
      mobil:     36/30/578925
      munkahely: 36/96/502130
Fax:  otthon:    36/96/312081
      munkahely: 36/96/430181
otthon:    mailto:
munkahely: mailto:
+ - CD-ket keresek, + eloadoi info kellene (mind) VÁLASZ  Feladó: (cikkei)

Hi all!

Szoval:Keresnem a Zbigniew Preisner (asszem jol irom) alkotasait ( 1 csomo
filmnek o irta a zenejet, pl.: Kieslowsky: 3 szin, veronika kettos elete, stb.)
Ha nem elado, de megvan valakinek illetve Balanescu quartet, Nyman, String
Quartet CD-kkel rendelkezik a kazira torteno atvetel lehetosege is erdekelne.

Tovabba:

Talaltam par akcios, kazit, de nem lehet belehallgatni, szamomra pedig total
ismeretlenek az illetok, ha valaki par szoban jellemezne/hozza hasonlo stilusu
eloadot mondana +koszonnem.

Hilary James & Bob James
George Duke
Stephane Grappelli
					   elore is koszonom:Bigyo
+ - Re: Vilagora? (mind) VÁLASZ  Feladó: (cikkei)

In article >,   (Imre Olajos) writes:
>fogalmazodott meg. Mert nagyon szep am, hogy van nekunk egy UCT
>(Universal Coordinated Time) (ex-GMT) referenciank amihez mindent
>viszonyitunk, de ezt hogyan tovabbitjak pld. az urbe, es hogyan kalkulaljak
>bele azt, hogy jelenlegi ismereteink szerint informaciot max. fenysebesseggel
>tudunk tovabbitani?

Vonatkozo RFC:
1305 Network Time Protocol (Version 3) Specification, Implementation.
     David L. Mills. March 1992. (Format: TXT=307085 bytes) (Obsoletes
     RFC0958, RFC1059, RFC1119)

ftp://ftp.sztaki.hu/pub/nic/rfc/rfc1305.tar.Z   (postscript)
ftp://ftp.sztaki.hu/pub/nic/rfc/rfc1305.txt.Z   (text, az abrak nelkul)

(Ez csak egy pelda, hogy meg *lehet* oldani. Nem feltetlenul igy csinaltak
a Marson is.)

Gabor
+ - Re: rendor es birsag (mind) VÁLASZ  Feladó: (cikkei)

In article >,   writes:
>all, es figyeli a "hivatalos" szervezetek, szemelyek munkajat es azonnal
>kiabal ha valami jogtalansagot eszlel (sot, sokszor akkor is, ha
>nem...:-)). Enelkul nem lenne jogallam. Es ezt nem lehet csak a kormanyon
>es a torvenyhozason szamonkerni.
>
>Elnezest a hosszas "kioktatasert", altalaban nem szokasom. De mostanaban

Nem kioktatas ez, hanem az irigyseg erzetenek szandekos felkeltese. ;-)

Gabor
+ - 3 lyuk (mind) VÁLASZ  Feladó: (cikkei)

Keresek amerikai szabvanyu gyurus konyvekhez irodai papirlyukasztot.
3 lyuk, 108 mm tavolsagra. Van valakinek otlete, hogy a Becsi ut innenso
vegen lehet-e valahol kapni? (Osszehasonlitasul: amit itt tobbnyire arulnak,
az DIN szabvanyu 4 lyukat uto, 80 mm-es lyuktavolsaggal.)

Gabor
+ - Mi a kulonbseg a DEC es a Globusz kozott? (mind) VÁLASZ  Feladó: (cikkei)

Fogyasztovedelem:

Egy szep szombati nap estejen felbontottam egy Globusz gyartmanyu
X-konzervet (a fajtaja most nem szamit, ~340 forint.) Megmelegitem a
mikroban es hamm. Hopp! Csikorog a fogam. Kozott a homok.
Csutortokon felhivom Globuszt, mondom, hogy mi van. Most jon a
sikertortenet. Nem azt kerdeztek, hogy mivel tudom bizonyitani,
meg hogy ugye nem en tettem bele a homokot? Nem! Eloszor elnezest
kertek, masodszor a cimemet, hogy kuldhessenek masikat.
Ugy dontottem, inkabb szemelyesen megyek be. (Elvezkedni! ;-)
Hetfon meglatogatom oket, bevittem a dobozt meg az anyagot, mert
szerettek volna tudni a kodszamokat a fedelen. Megint csak suru
bocsanatkeresek, nezzem el nekik ezt a malort. Nem mindig sikerul
tokeletesen megmosni a nyers arut. Es adtak egy kartondobozt.
Azt hittem ket konzerv van benne, mondtam, nekem csak egy jar.
Nem, fogadjam csak el, karpotlas az idomert es a faradozasert.
Otthon nyitom: harom konzerv van benne! :-o
Ezt a hozzaallast kovetendo peldakent szeretnem allitani mindeki
ele, aki fogyasztoi panaszokkal foglalkozik!

Masik sztori. Ket 20"-es DEC monitorunk szin illetve kephibas volt.
Ejnye, hat kivel javittassuk, ha nem a DEC Magyarorszaggal? Telefon,
vallaljak. Soforunk elviszi. Egy het mulva hivnak, hogy ugyan kuldjunk
hozza egy X terminalt is, mert nekik nincs olyan eszkozuk, ami 1280*1024-es
felbontassal meg tudna hajtani. Soforunk elviszi. "Vartunk, vartunk..."
[Nagy Bando Andras] Par het utan felhivom mar oket, hogy mi van?
Kiderul, reg ott all a raktarban, kiszallitasra keszen. (Bravo!
Nem tudtak volna ertesiteni?) Soforunk elhozza a kacatot.
Itt derul ki, hogy az X terminal es a monitor koze valo halozati kabelt
a szakember nem kuldte vissza. Telefon, igen itt van az asztalan, sajnos
kimaradt. Nem, nem jon toluk senki a varosba, menjunk erte mi!
(XII. kerulet, Kulso Vilagvege utca 112c.) Jo, adja le a portan.
Ket nap mulva egy kollegam reggel kiterot tesz erte, de a portas nem
tud a kabelrol. Honepok telnek, honapok mulnak. Mult csutortokon felhivom
a szakembert: hetfon elmegyek a kabelert. Jo, epp megy le egy kollegaja
a porta fele, lekuldi vele. Hetfon (szabadsagom terhere) elmegyek
DEC-ekhez. Portas: kabel? Itt nalam? Egy darab se. Telefon a szakembernek.
Jon le. Lejon: kezeben egy formedveny. Az eredeti, 50 centis, szinben
a tobbi eszkozzel harmonizalo eredeti DEC kabel helyett egy ketmeteres
randa fekete tekergo kelgyo. Hat hogy az eredeti elveszett. Hogy csutortokon
latta utoljara. Bravo2! Most a DEC-nel vagy lop valaki, vagy felfaltak
a termeszek a szep kurta drotomat.
(Nem morognek, ha a javitas sikerult volna. De az egyik monitornak
nagyfeszultsegproblemai tamadtak a szinekert cserebe.)

Mindenki dontse el, hogy ezek utan a Globusszal, vagy a DEC Magyarorszaggal
akar uzleti kapcsolatba kerulni!

Gabor
+ - Filmrejtveny (mind) VÁLASZ  Feladó: (cikkei)

(Barati korben egyszer mar feladtam, ok most ne valaszoljanak!)

A volt egyszer egy vadnyugatban
 a) ki adta le az utolso lovest?
 b) ki halt meg utoljara?

Achtung! Nincs hijan ne'mi beugratasnak.

Gabor
+ - Re: Motorolajok es autogumik (mind) VÁLASZ  Feladó: (cikkei)

On Wed, 3 Sep 1997 22:28:17 EST, Meszaros Laszlo wrote:

>Namost akkor pontosan mit jelent a SAE kod-ban a szam a betu (ami
>szinte mindig W) es az utana levo masik szam? Az biztos, hogy az

 Megkovetem a TIPP tisztelt olvasoit, a motorolajok jelolesevel
kapcsolatban teves informaciot adtam kozre. 
Tehat a W elotti szam _nem_a_'hideg'_viszkozitasi_erteket_ jelzi, 
hanem az olaj _hidegfolyasi_tulajdonsagaira,_kovetelmenyeire_utal_.
A W utan az uzemi viszkozitas ertek all.
Ezuton koszonom meg Berger Jozsefnek, hogy figyelmeztetett. 

>
>Masik kerdes, ami regota furja az oldalam: az autogumikon levo
>ilyesmi rovidites: 165/70 R 13... Ebbol az R gondolom radial (az

A 165 a futofelulet szelessege mm-ben, a /70 a papucs profilaranyat
jelzi, 13 a kerektarcsa atmero inch-ben. Ha nem tuntetik fel
a '/' erteket akkor normal abroncsrol van szo, amelynek a
profilaranya 82. Szokott lenni meg egy terhelhetosegi jelzoszam,
ami az abroncsra megengedett sulyt es egy betu is valahol a 
gumin ami a megengedett sebesseget jelzi. A futofelulet szelessege
termeszetesen meghatarozza az abroncshoz hasznalhato kerektarcsa
szelesseget is. A peldaban szereplo abroncs 4 1/2 inch szelessegu
kerektarcsakra szerelheto fel.


           Erlich Janos
           Aki oszinten remeli, hogy most nem tevedett :-)
           (e-mail: )
+ - Info (mind) VÁLASZ  Feladó: (cikkei)

Hello !
Ha valaki tudna a kovetkezo szervezet URL-jet, cimet, vagy
barmit, kerjuk irjon nekunk !

Internationaler Volkssportverband
vagy
Federation Internacionale des Sports Populaires
vagy barmilyen nemzetkozi biciklis szervezet cime
jo lenne.
Koszi ! (:-)

Legyszi a sajat E-Mail cimunkre is ! 

 Taborfalvi Altalanos Iskola

+ - VHS video kazettak masolasa (mind) VÁLASZ  Feladó: (cikkei)

Kedves TIPPelok, es Szucs Imre!

Sajnos az aramkor kapcsolasi rajzat nem ismerem, de keszuleket
tudok ami dekodolja a vedett kazettat. Budapesten a Conrad-nal
lehet kapni, dobozolva keszen. Tobb fajta is van. A legolcsobb 12eFt,
a legdragabb 28eFt.

Udvozlettel Domino.
+ - [HIRDETES] ingatlan (mind) VÁLASZ  Feladó: (cikkei)

Keresek 55-60 m2 oroklakast Budan az  I   II  vagy III keruletben.
Koszonettel: 

Maria  Kaveggia
+ - [HIRDETES] Telekocsi Zurich-Budapest-Zurich (mind) VÁLASZ  Feladó: (cikkei)

Szept 9-en este indulo Zurichbol Munchenen es Becsen keresztul Budapestre
egyenlore ures kocsival. Vissza vszleg 15-en hajnalban indulok, ugyanazon
az utvonalon. Elerni vagy e-mailen, vagy a 41-1-635-5544-es telefonon
lehet.

			Udv		Xux
+ - [HIRDETES] LAKASRIASZTO ELADO-Kabelezes nelkul szerelhe (mind) VÁLASZ  Feladó: (cikkei)

Tisztelt erdeklodok!

Elado egy lakasriaszto:
    QUORUM gyartmany
    Bontott csomagolas, de nem hasznalt.
    Ara: 55.000 Ft
    Erzekeles modja:legnyomasvaltozasra indul  /ajto, ablak nyitas /
    Elony: -nem igenyel csak minimalis kabelezest 
           -alberletbe, nyaraloba, ideiglenes tartozkodasi helyre 
            idealis     

Ha meg mindig erdekel, itt van egy par info:

Parameterek:
        - hordozhato kivitel
        - kulcsos mukodtetes
        - beepitett hangjelzo 105 dB piezo
        - kulteri hangjelzo villogoval 
        - a belteri es kulteri egyseg sajat akkumulatorral rendelkezik
        - kB 100 nm-es lakast tud ellatni
        - belteri egyseg asztali kivitel
         (hasznalhato a kulteri egyseg nelkul is)
        - kulteri egyseg falra furhato / ez csatlakozik kabellel /

Uzembe helyezes:
        - kulteri egyseg felfurasa es a kabel elvezetese    
        - beallitas kiserletezessel kb 30 perc./erzekenyseg 
          beallitasa/

Tovabbi informaciok :          
                        de. Tel. 463-3881


Udvozlettel     
                        Fabian Ferenc
                        

> -------------------------------------------
                FABIAN FERENC
          Budapesti Muszaki Egyetem  
       Tavkozlesi es Telematikai Tanszek      
           TEL.  : 00-36-1-463-3881
        E-MAIL:                      
> -------------------------------------------
+ - [HIRDETES] Mountain Bike Elado (mind) VÁLASZ  Feladó: (cikkei)

Udvozlet Mindenkinek,

45 ezer forintert elado egy 16"-os Raleigh Amazon hegyibringa, ami alig volt
hasznalva.
Krom-molibden csovekbol keszult, Shimano Altus alkatreszcsoporttal van
felszerelve.
A szine bordo-metal.
Ha erdekel a dolog, irj, vagy hivj fel a 06 20 216 930 szamon.

Udv,

Nagy Tibor
+ - [HIRDETES] komplett multimedias pentium monitorral a le (mind) VÁLASZ  Feladó: (cikkei)

P100,256k VX, 1Mb PCI,1,3Gb,1.44Mb,16Mb RAM, 14"SVGA,6xCD,SB16 komp,aktiv box,e
ger,bill,haz=116.000+AFA
+ - [HIRDETES] Elado 1302 VW-Bogar Cabrio (mind) VÁLASZ  Feladó: (cikkei)

Elado egy frissen felujitott/atalakitott VW-1302 Bogar Cabrio.
Metallkek, fekete ponyva, KPM-engedelyes atalakitas, Cabriokent(!) 
frissen levizsgaztatva 1999-ig.
Iranyar 470.000Ft. 

Erdeklodni *KIZAROLAG* a 
    ******* (79)-422-832 telefonon (Molnar), ***********
mert a kocsi nem az enyem, szoval e-mailen semmi tovabbi infoval nem 
szolgalhatok.
> ------------------------------------------------------------------
Gabor Ziegler M.Sc.E.E.                     tel:(+36)-(1)-463 1356
TUB-DTT-HSNLab                              fax:(+36)-(1)-463 3107
, 
> ------------------------------------------------------------------
+ - [HIRDETES] Alberlet kerestetik Pecsen (mind) VÁLASZ  Feladó: (cikkei)

Haliho!

Egy baratnom keres kiado lakast 1 fo reszere Pecsen az egyetem
kornyeken. Esetleg masok melle is betarsulna. Surgos!

Ajanlatokat:
  tel: 06/99/313-862  Sopron, Szalai Kriszta (neki kellene alberlet)
vagy
  e-mail:  (ez meg az en cimem)


Elore is koszi: Nagy Zsolt
+ - [HIRDETES] Elado Volkswagen Passat. (mind) VÁLASZ  Feladó: (cikkei)

Elado egy Volkswagen Passat-Variant kombi, 1.6 benzines, 1986 jan.,
190.000 km-rel szervizkonyvvel igazolható. Vizsga 98 jan-ig,
de a vizsga megoldható.
Masodik tulajdonos, a szine piros. Micro Car Alarm riaszto, sajat akkus
+ autoradio . Nagy auto, hatalmas csomagterrel. Megkímélt allapotban elado.
Az ara : 460.000,-. Az auto Vacon van. 

Telefon : 06-20-350-538

Borsodi Zoltan certified economist  
 _____ _____ _____ _____ _____  	                               
|_   _| __  |   __| __  | __  | 
  | |      -|   __| __ -| __ -|  
  |_|  __|__|_____|_____|_____| 
+ - [HIRDETES] 33.6 modem elado (mind) VÁLASZ  Feladó: (cikkei)

4 honapos Maxtech faxmodem elado. Foglalt jelzest felismeri!

Iranyar: 10,000 Ft.
+ - [HIRDETES] elado HiFik es CD-k (mind) VÁLASZ  Feladó: (cikkei)

"Arculatvaltas" miatt eladoak az alabbi szerkentyuk:

Pioneer CT-S310 Deck  (2 motor, logikai vezerles, csucsszinttartos
kivezerlesmero, szalagtesztelo aramkor, szamkereses, CD-syncro, HX-Pro,
DolbyB,C, Repeat funkcio, (1 kazettas), stb.) Iranyar:29.000.-Ft


Technics SL-PG370A CD-lemezjatszo (1 eves, 1 honapot hasznalt,         
karcmentes, csucsszintkeresos, programozhato, stb.)
Iranyar:24.000.-Ft

Tovabba

AIWA F-220 Deck (Dolby B, C, soft-touch mechanika) megkimelt allapotban (2 eve 
a
szekrenyben all)         Iranyar:9.000.-Ft


  E L A D O   C D  L E M E Z E K

Prodigy:Fat Of The Land            Ar:2.200.-Ft 
R.E.M.:Murmur                    Ar:1.500.-Ft 
INXS:Elegantly Wasted            Ar:2.000.-Ft


Inyenceknek:

Michael Nyman:Man Who Mistooke His Wife (diszdobozban)                 
							       Ar:3.000.-Ft
Kronos Quartet:White Man Sleeps                    Ar:2.000.-Ft


A fenti "HiFik" arabol komoly erdeklodes eseten ne'mi engedmeny adhato, ill.
tovabba csere is erdekel ,(Radio-erosito (Pl.Technics ), vagy 2kazettas HX-Pros
decket keresek a Pioneer helyett) Radioerosito eseten cserebe fel tudok 
ajanlani egy SONY STR-GX390-es tipusu (4 eves) Radioerositot (30 allomas 
programozhato, taviranyitos, (a teljes akkori tornyot vezerli) 2X50W,vagy 4X25W
 
(ha jol remlik) szinuszos teljesitmeny, DAT, CD, 2 Deck, mikrofon, tuner, Video
 
ki/bemenetek, 2fele antenna bemenet). 
		Erdeklodni:Olah Janos Tel.:52-437-387 
vagy, E-mail: 

Helyileg DEBRECENben vannak a fent felsorolt dolgok.
								     Bigyo
+ - [HIRDETES] Elado 486 konfig (mind) VÁLASZ  Feladó: (cikkei)

Elado a kovetkezo konfig:

486 HOT-419 VL-BUS alaplap
AMD DX4-100 CPU
AOC 14" SVGA monitor
4Mb 32 bites RAM
1MB Tomahawk Cirrus Logic VGA
Baby kijelzos haz
1.44 Panasonic FDD
Genius mouse
Angol billentyuzet
IDE+ (Goldstar)
486 cooler
Monitorszuro foldelheto

Ara: 60.000 Ft. Winchester nelkul. Kituno allapotu, kifogastalanul mukodik.
Erdeklodni e-mailen, vagy a 2-520-618-as tel. szamon.

Balogh Ildy

AGYKONTROLL ALLAT AUTO AZSIA BUDAPEST CODER DOSZ FELVIDEK FILM FILOZOFIA FORUM GURU HANG HIPHOP HIRDETES HIRMONDO HIXDVD HUDOM HUNGARY JATEK KEP KONYHA KONYV KORNYESZ KUKKER KULTURA LINUX MAGELLAN MAHAL MOBIL MOKA MOZAIK NARANCS NARANCS1 NY NYELV OTTHON OTTHONKA PARA RANDI REJTVENY SCM SPORT SZABAD SZALON TANC TIPP TUDOMANY UK UTAZAS UTLEVEL VITA WEBMESTER WINDOWS